A COMPARATIVE STUDY OF RELIABILITY FOR FINFET

Saleh Shaheen, Gady Golan, Moshe Azoulay, Joseph Baruch Bernstein

DOI Number
10.2298/FUEE1803343S
First page
343
Last page
366

Abstract


The continuous downscaling of CMOS technologies over the last few decades resulted in higher Integrated Circuit (IC) density and performance. The emergence of FinFET technology has brought with it the same reliability issues as standard CMOS with the addition of a new prominent degradation mechanism. The same mechanisms still exist as for previous CMOS devices, including Bias Temperature Instability (BTI), Hot Carrier Degradation (HCD), Electro-migration (EM), and Body Effects. A new and equally important reliability issue for FinFET is the Self -heating, which is a crucial complication since thermal time-constant is generally much longer than the transistor switching times. FinFET technology is the newest technological paradigm that has emerged in the past decade, as downscaling reached beyond 20 nm, which happens also to be the estimated mean free path of electrons at room temperature in silicon. As such, the reliability physics of FinFET was modified in order to fit the newly developed transistor technology. This paper highlights the roles and impacts of these various effects and aging mechanisms on FinFET transistors compared to planar transistors on the basic approach of the physics of failure mechanisms to fit to a comprehensive aging model.


Keywords

FinFET, Reliability, CMOS FinFET, BTI, HCD, electromigration, aging

Full Text:

PDF

References


FinFET Modeling for IC Simulation and Design: Using the BSIM-CMG Standard 114–117, April 19, 2001.

S.-H. Oh, D. Monroe, J.M. Hergenrother, “Analytic description of short-channel effects in fully-depleted double-gate and cylindrical, surrounding-gate MOSFETs,”IEEE Electron Device Letters, vol. 21, no. 9, 445-447, 2000.

G. E. Moore, “Cramming more components onto integrated circuits,” Proc. of Electronics, vol. 38, 114–117, April 19, 1965.

S. Thompson, P. Packan, and M. Bohr, “MOS scaling: transistor challenges for the 21st century,” Intel Technology Journal, vol. 2, pp. 1–19, 1998.

K. J. Kuhn, “CMOS scaling for the 22nm node and beyond: Device physics andtechnology,” In Proceedings of the International Symposium on VLSI Technology, Apr. 2011, pp. 1–2.

K. Bernstein, D. J. Frank, A. E. Gattiker, W. Haensch, B. L. Ji, S. R. Nassif, E. J. Nowak, D. J.Pearson, and N. J. Rohrer, "High-performance CMOS variability in the 65-nm regime andbeyond," IBM Journal of Research and Development, vol. 50, pp. 433–449, Jul-Sep 2006.

D. K. Schroder and J. A. Babcock, “Negative bias temperature instability: Road to cross in deepsubmicron silicon semiconductor manufacturing,” Journal of Applied Physics, vol. 94, pp. 1–18, Jul. 2003.

X. Wang, B. Cheng, A. R. Brown, C. Millar, J. B. Kuang, S. Nassif, and A. Asenov, “Statisticalvariability and reliability in nanoscale finfets,” in Proceedings of the IEEE Int. Electron Devices Meeting (IEDM), 1–4, 2011.

B. Kaczer, T. Grasser, P. J. Roussel, J. Franco, R. Degraeve, L. Ragnarsson, E. Simoen, G. Groeseneken, and H. Reisinger, “Origin of NBTI variability in deeply scaled pFETs,” in Proc. of the IEEE IRPS, 2010, pp. 26–32.

P. Woerlee, P. Damink, M. van Dort, C. Juffermans et al., “The impact of scaling on hot-carrier degradation and supply voltage of deep-submicron NMOS transistors,” in Proceedings of the IEEE Int. ElectronDevices Meeting (IEDM), 1991, pp. 537–540.

Y. Lee, N. Mielke, M. Agostinelli, S. Gupta, R. Lu, and W. McMahon, “Prediction of logicproduct failure due to thin-gate oxide breakdown,” in Proceedings of the IEEE IRPS, 2006, pp. 18–28.

The International Technology Roadmap for Semiconductors (ITRS), 2009. http://public.itrs.net

V. Huard, F. Cacho, Y. Mamy Randriamihaja, and A. Bravaix, “From defects creation to circuitreliability—A bottom-up approach,” Microelectron. Eng., vol. 88, no. 7, pp. 1396–1407, Jul.2011.

V. Huard V, F. Cacho, Y. Mamy Randriamihaja, A. Bravaix, “From defects creation to circuit reliability – a bottom-up approach,” Microelectro. Eng., vol. 88, pp. 1396-1407, 2011.

JEDEC Publication. Failure mechanisms and models for semiconductor devices, JEP-122G, October 2011.

Joseph B Bernstein, Gurfinkel Moshe, Li Xiaojun, Walters Jörg, Shapira Yoram, Talmor Michael, “Electronic circuit reliability modeling,” Microelectron Reliab, vol. 46, pp. 1957–1979, 2006.

RF Drenick “Mathematical Aspects of the reliability problem,” J Soc Ind Appl Math, vol. 8, pp. 125–149, 1960.

"Reliability prediction with MTOL" by Joseph B. Bernstein, Alain Bensoussan, Emmanuel Bender

Y. Miura and Y. Matukura, “Investigation of silicon-silicon dioxide interface using MOSstructure,” Japanese Journal of Applied Physics, vol. 5, pp. 180, 1966

S. Khan, S. Hamdioui, H. Kükner, P. Raghavan, and F. Catthoor, “BTI impact on logical gates innano-scale cmos technology,” in Proceedings of the IEEE 15thInternational Symposium on Design and Diagnosticsof Electronic Circuits Systems (DDECS), 2012, pp. 348–353.

H. Kükner, P. Weckx, P. Raghavan, B. Kaczer, F. Catthoor, L. Van Der Perre, R. Lauwereins,and G. Groeseneken, “Impact of duty factor, stress stimuli, and gate drive strength on gate delaydegradation with an atomistic trap-based BTI model,” in Proceedings of the 15thEuromicro Conf. on DSD, 2012, pp. 1–7.

V. Huard, M. Dennis and C. Parthasarathy, “NBTI degradation: From physical mechanisms to modelling,” Microelectronics Reliability, vol. 46, pp. 1–23, 2006.

T. Grasser, B. Kaczer, W. Goes, T. Aichinger, P. Hehenberger, and M. Nelhiebel, “A two-stage model for negative bias temperature instability,” in Proceedings of the IEEE IRPS, 2009, pp. 33–44, 2009.

W. Wang, S. Yang, S. Bhardwaj, S. Vrudhula, T. Liu, and Y. Cao, “The impact of NBTI effect on combinational circuit: Modeling, simulation, and analysis,” IEEE Trans. on Very Large Scale Integration (VLSI) Systems, vol. 18, no. 2, pp. 173–183, 2010.

Acovic, G. L. Rosa, and Y.-C. Sun, “A review of hot carrier deration mechanisms in MOSFETs,” Microelectronics Reliability, vol. 36, pp. 845–869, 1996.

E. Takeda, C. Y. Yang, and A. Miura-Hamada, Hot-Carrier Effects in MOS Devices, ch. 2, pp. 49–58. Academic Press, 1995.

M. Song, K. P. MacWilliams, and J. C. S. Woo, “Comparison of NMOS and PMOS hot carrier effects from 300 to 77 k,” IEEE Transactions on Electron Devices, vol. 44, pp. 268–276, 1997.

M. Ohring, Reliability and Failure of Electronic Materials and Devices, ch. 5, p. 259. Academic Press, 1998.

D. G. Pierce and P. G. Brusius, “Electromigration: A review,” Microelectron Reliability, vol. 37, pp. 1053–1072, 1997.

J. R. Black, “Mass transport of aluminum by moment exchange with conducting electrons,” in Proceedings of the 6th Annual International Reliability Physics Symposium, pp. 148–159, 1967.

R. Lake and S. Datta, “Energy balance and heat exchange in mesoscopic systems,” Phys. Rev. B, vol. 46, no. 8, pp. 4757–4763, 1992

U. Lindefelt, “Heat generation in semiconductor devices,” J. Appl. Phys., vol. 75, no. 2, pp. 942–957, 1994.

J. Lai and A. Majumdar, “Concurrent thermal and electrical modeling of submicrometer silicon devices,” J. Appl. Phys., vol. 79, no. 9, pp. 7353–7361, 1996.

M. Artaki and P. J. Price, “Hot phonon effects in silicon field-effect transistors,” J. Appl. Phys., vol. 65, no. 3, pp. 1317–1320, 1989.

P. Lugli and S. M. Goodnick, “Nonequilibrium longitudinal-optical phonon effects in GaAs-AlGaAs quantum wells,” Phys. Rev. Lett., vol. 59, no. 6, pp. 716–719, 1987.

S. Ramey et al, “Frequency and recovery effects in High-k BTI Degradation,” IRPS 2009. pp. 1023-1027.

S. Ramey, Y. Lu, I. Meric, S. Mudanai, S. Novak, C. Prasad, J. Hicks. "Aging model challenges in deeply scaled tri-gate technologies", In Proceedings of the IEEE International Reliability Workshop (IIRW2015), 2015, pp. 56-62.

T. Grasser et al, "The Universality Of NBTI Relaxation and its Implications For Modeling And Characterization," IRPS 2007, pp. 268-280.

S. Pae, et al, "Reliability Characterization of 32nm High-K and Metal Gate Logic Transistor Technology," IRPS 2010. pp. 3D2.1-3D2.6

A. Krishnan, et al., “NBTI Impact on Transistor & Circuit: Models, Mechanisms, & Scaling Effects,” In Proceedings of the IEDM 2003. pp. 14.5.1-14.5.4

C. Hu, “Lucky-electron model of channel hot electron emission,” In Proc. of the IEDM, 1979. pp. 22-25.

B. Kaczer, et al., “Origin of NBTI Variability in Deeply Scaled pFETs,” In Proceedings of the IRPS 2010, pp. 2A3.1-2A3.7.

C. Prasad, et al., “Bias temperature instability variation on SiON/Poly, HK/MG and trigate architectures,” In Proceedings of the IRPS 2014, pp. 6A.5.1-6A.5.7.

P. Packan, et al, “High Performance Hi-K + Metal Gate Strain Enhanced Transistors on (110) Silicon,” In Proceedings of the IEDM 2008. pp.1-4.

G. Groeseneken, et al, “Reliability issues in MUGFET Nanodevices,” In Proc of IRPS 2008. pp.52-60.

J. Kim, et al, “Effects of Gate Process on NBTI Characteristics of TiN Gate FinFET,” In Proceedings of the IRPS 2012. pp.GD6.1-GD6.4.

C. Prasad, et al., “Self-heat reliability considerations on Intel's 22nm Tri-Gate technology,” In Proceedings of the IRPS 2013. Pp.5D.1.1-5D.1.5.

S. Ramey et al, "Intrinsic Transistor Reliability Improvements from 22nm Tri-Gate Technology," In Proceedings of the IRPS 2013. p.4C.5.1.-4C.5.5.

K.T. Lee, et al , “Technology scaling on High-K & Metal-Gate FinFET BTI reliability,” In Proceedings of the IRPS 2013. pp. 2D.1.1 - 2D.1.4.

C.C. Wu, et al, “High performance 22/20nm FinFET CMOS devices with advanced high-K/metal gate scheme,” In Proceedings of the IEDM 2010. pp. 27.1.127.1.4.

S. Ramey, et al., “Transistor reliability variation correlation to threshold voltage,” In Proceedings of the IRPS 2015. pp. 3B2.1-3B2.6.

M. Cho, et al, “Off-state stress degradation mechanism on advanced pMOSFETs,” In Proceedings of the ICICDT 2015. pp.1-4.

B. Kaczer, et al., “Origins and Implications of Increased Channel Hot Carrier Variability in nFinFETs,” In Proceedings of the IRPS 2015. pp. 3B.5.1 - 3B.5.6.

C. Xu, et al, “Analytical Thermal Model for Self-Heating in Advanced FinFET Devices With Implications for Design and Reliability,” IEEE

International Technology Roadmap for Seminconductors. .

D. Hisamoto, “Multi-gate FETs,” In Proceedings of the IEEE int electron dev meet (IEDM). Short course; 2003.

Baozhen Li, Cathryn Christiansen, Dinesh Badami, Chih-Chao Yang. "Electromigration challenges for advanced on-chip Cu interconnects", Microelectronics Reliability, vol. 54, no. 4, pp. 712-724, 2014.

D. Edelstein D et al, “Full copper wiring in a Sub-0.25 pm CMOS ULSI technology,” Technical Digest. In: IEEE int electr dev meeting, 1997. p. 773–6.

IA Blech, “Electromigration in thin aluminum films on titanium nitride,” J Appl Phys, vol. 47, pp.1203–1208, 1976.

Li B et al, “Threshold electromigration failure time and its statistics for Cu interconnects,” J Appl Phys, vol. 100, pp. 114516, 2006.

C-K Hu et al, “Impact of Cu microstructure on electromigration reliability,” In Proceedings of the IEEE intern interconnect tech. conf (IITC); 2007 [Section 6.1].

JJ. Clement, “Electromigration modeling for integrated circuit interconnect reliability analysis,” Trans Dev Mater Rel, vol. 1, pp. 33–42, 2001.

C. Christiansen, B. Li, J. Gill, “Blech effect and lifetime projection for Cu/low-K interconnects,” In Proceedings IEEE intern interconnect tech. conf. (IITC), 2008. p. 114–6.

B. Li B et al, “Short line electromigration characteristics and their applications for circuit design,” In Proceedings of the IEEE int rel phys symp (IRPS), 2013, 3F2.

C-K Hu et al, “Electromigration challenges for nanoscale Cu wiring,” In Proceedings of the AIP Conf 2009, 1143:3–11.

S. Ramey, et al., “BTI Recovery in 22nm tri-gate technology,” In Proceedings of the IRPS 2014, pp. XT2.1-XT2-6.

F. Cacho et al, “HCI/BTI coupled model: The path for accurate and predictive reliability simulations,” In Proceedings of the IRPS 2014. pp.5D4.1-5D4.5.

M. Song, K. P. MacWilliams, and J. C. S. Woo, “EM reliability” IEEE Transactions on Electron Devices, vol. 44, pp. 268–276, 1997.


Refbacks

  • There are currently no refbacks.


ISSN: 0353-3670 (Print)

ISSN: 2217-5997 (Online)

COBISS.SR-ID 12826626