MTJ-BASED HYBRID STORAGE CELLS FOR “NORMALLY-OFF AND INSTANT-ON” COMPUTING

Bojan Jovanovic, Raphael M. Brum, Lionel Torres

DOI Number
-
First page
465
Last page
476

Abstract


Besides increasing a computing throughput, multi-core processor architectures bring increased capacity of SRAM-based cache memory. As a result, cache memory now occupies large proportion of recent processor chips, becoming a major source of the leakage power consumption. The power gating technique applied on a SRAM cache is not efficient since it is paid by data loss. In this paper, we present two hybrid memory cells that combine a conventional volatile CMOS part with Magnetic Tunnel Junctions (MTJs) able to store a data bit in a non-volatile way. Being inherently non-volatile, these hybrid cells enable instantaneous power off and thus complete reduction of the leakage power. Moreover, given that the data bit can be stored in local MTJs and not in distant storage memories, these cells also offer instantaneous and efficient data retrieval. To demonstrate their functionality, the cells are designed using 28 nm FD-SOI technology for the CMOS part and 45 nm round spin transfer torque MTJs (STT-MTJs) with perpendicular magnetization anisotropy. We report the measured performances of the cells in terms of required silicon area, robustness, read/write speed and energy consumption.

Full Text:

PDF

References


J. Rabaey, Low Power Design Essentials. New York: Spriger-Verlag, 2009.

P. Rech, J.-M. Galliere, P. Girard, F. Wrobel, F. Saigne, and L. Dilillo, "Impact of Resistive-Open Defects on SRAM Error Rate Induced by Alpha Particles and Neutrons", IEEE Transactions on Nuclear Science, vol. 58, pp. 855-861, 2011.

R. Sandeep, N.T. Deshpande, and A.R. Aswatha, "Design and Analysis of a New Loadless 4T SRAM Cell in Deep Submicron CMOS Technologies" In Proceedings of the 2nd International Conference on Emerging Trends in Engineering and Technology, 2009, pp. 155 – 161.

K. Abe, S. Fujita, and H. Lee, "Novel Nonvolatile Logic Circuits with Three Dimensionally Stacked Nanoscale Memory Device", In Proceedings of Nanotechnology Conference, 2005, pp. 203-206.

Semiconductor Industry Association (SIA). (2011) International technology roadmap for semiconductors. San Jose, CA: Semiconductor Industry Association (SIA), http://www.itrs.net/.

S. James, P. Arujo, and A. Carlos, "Ferroelectric Memories", Science, vol. 246, pp. 1400-1405, 1989.

H. Wong, S. Raoux, S. Kim et al. "Phase Change Memory", invited paper, Proceedings of the IEEE, vol. 98, pp. 2201 – 2227, 2010.

C. Chappert, A. Fert, and V. Dau, "The Emergence of Spin Electronics in Data Storage", Nature Materials, vol. 6, pp. 813-823, 2007.

W. Zhao, E. Belhaire, C. Chappert, and P. Mazoyer, "Spintronic Device Based Non-volatile Low Standby Power SRAM", In Proceedings of IEEE Annual Symposium on VLSI, 2008, pp. 40-45.

S. Ikeda, H. Sato, M. Yamanouchi, et al. "Recent progress of perpendicular anisotropy Magnetic Tunnel Junctions for non-volatile VLSI", Journal of SPIN, vol. 2, pp. 1240003-1 - 124003-12, 2012.

T. Kawahara, K. Ito, R. Takemara, and H. Ohno, "Spin-Transfer Torque RAM Technology: Review and Prospect", Microelectronics Reliability, vol. 52, pp. 613-627, 2012.

W. Zhao, E. Belhaire, C. Chappert, and P. Mazoyer, "Power and area optimization for run-time reconfiguration SOPC based on MRAM", IEEE Transactions on Magnetics, vol. 45, pp. 776–780, 2009.

L. Torres, Y. Guillemenet, and S. Ahmed, "A Dynamic Reconfigurable MRAM based FPGA", In Proceedings of International Conference on Engineering of Reconfigurable Systems and Algorithms, 2010, pp. 31-40.

D. Suzuki, M. Natsui, S. Ikeda, et al. "Fabrication of a nonvolatile lookup-table circuit chip using magneto/semiconductor hybrid structure for an immediate-power-up field programmable gate array", In Proceedings of IEEE Symposium on VLSI Circuits, 2009, pp. 80-81.

L. Berger, "Emission of spin waves by a magnetic multilayer traversed by a current", Physical Review B, vol. 54, pp. 9353–9358, 1996.

J. C. Slonczewski, "Current-driven excitation of magnetic multilayers", Journal of Magnetism and Magnetic Materials, vol. 1859, pp. L1–L7, 1996.

H. Yoda, S. Fujita, N. Shimomura, et al. "Progress of stt-mram technology and the effect on normally-off computing systems", In Proceedings of IEEE International Electron Devices Meeting, 2012, pp. 11.3.1 - 11.3.4.

R. Takemura, T. Kawahara, K. Ono, K. Miura, H. Matsuoka, and H. Ohno, "Highly-scalable disruptive reading scheme for Gb-scale SPRAM and beyond", In Proceedings of IEEE International Memory Workshop, 2010, pp. 1-2.

E. Kiagawa, S. Fujita, K. Nomura, et al. "Impact of ultra low power and fast write operation of advanced perpendicular MTJ on power reduction for high-performance mobile CPU", In Proceedings of IEEE International Electron Devices Meeting, 2012, pp. 29.4.1 - 29.4.4.

N. Planes, O. Weber, V. Barral, et al. "28nm FDSOI technology platform for high-speed low-voltage digital applications", In Proceedings of the Symposium on VLSI Technology, 2012, pp. 133-134.

T. Ishikagi, R. Tsuchiya, Y. Morita, et al. "Silicon on Thin BOX (SOTB) CMOS for Ultralow Standby Power with Forward-biasing Performance Booster", In Proceedings of the European Solid-State Device Research Conference, 2008, pp. 198-201.

Y. Zhang, W. Zhao, Y. Lakys, "Compact Modeling of Perpendicular-Anisotropy CoFeB/MgO Magnetic Tunnel Junctions", IEEE Transactions on Electron Devices, vol. 59, pp. 819-826, 2012.


Refbacks

  • There are currently no refbacks.


ISSN: 0353-3670 (Print)

ISSN: 2217-5997 (Online)

COBISS.SR-ID 12826626