RAPID EXPLORATION OF COST-PERFORMANCE TRADEOFFS USING DOMINANCE EFFECT DURING DESIGN OF HARDWARE ACCELERATORS

Reza Sedaghat, Anirban Sengupta

DOI Number
-
First page
317
Last page
328

Abstract


Modern Very Large Scale Integration (VLSI) designs require a tradeoff between cost efficiency and performance (circuit speed). Furthermore, the Design Space Exploration (DSE) of the cost-performance tradeoffs for the multi objective VLSI designs should also be fast and efficient in nature. This paper presents a novel accelerated DSE approach for the exploration of cost-performance tradeoffs of modular multi (trio parametric. viz. cost, execution time and power consumption) objective VLSI hardware accelerators using hierarchical criterion analysis. The selection of the final design point is made after the tradeoffs are explored using the proposed approach.  Results of the proposed approach when applied to various benchmarks yielded significant acceleration in the exploration process compared to current existing approaches with multi parametric objective.


Full Text:

PDF

References


G. De Micheli, “Synthesis and Optimization of Digital Circuits”. McGraw-Hill: New York, 1994.

Saraju P. Mohanty, Nagarajan Ranganathan, Elias Kougianos and Priyadarsan Patra, “Low-Power High-Level Synthesis for Nanoscale CMOS Circuits” Chapter- High-Level Synthesis Fundamentals, Springer US, 2008

Anirban Sengupta, Reza Sedaghat, Zhipeng Zeng, “A High Level Synthesis design flow with a novel approach for Efficient Design Space Exploration in case of multi parametric optimization objective”, Microelectronics Reliability, Science Direct, Elsevier, Volume 50, Issue 3, March 2010, pp. 424-437.

Zhipeng Zeng, Reza Sedaghat, Anirban Sengupta, “A Framework for Fast Design Space Exploration using Fuzzy search for VLSI Computing Architectures”, Accepted to Appear in the Proceedings of 2010 IEEE International Symposium on Circuits and Systems (ISCAS), June 2, 2010.

Anirban Sengupta, Reza Sedaghat, Zhipeng Zeng, “Rapid Design Space Exploration for multi parametric optimization of VLSI designs”, Proceedings of 2010 IEEE International Symposium on Circuits and Systems (ISCAS), June 2, 2010, Paris, France, Article # 2016 (Session: Logic & High-level Synthesis, C2L-F).

Anirban Sengupta, Reza Sedaghat, Zhipeng Zeng, “Hardware Efficient Design of speed optimized Power stringent Application Specific Processor”, Proceedings of IEEE 21st International Conference on Microelectronics (ICM), Morocco, December 22, 2009, pp. 167-170.

D. Gajski, N. Dutt, A.Wu, and S. Lin, “High Level Synthesis: Introduction to Chip and System Design”. Kluwer: Norwell, MA, 1992.

Kirischian, L;Geurkov, V., Kirischian, V. and Terterian, I. ‘Multi-parametric optimisation of the modular computer architecture’, Int. J.Technology, Policy and Management, Vol. 6, No. 3,2006, pp.327–346.

Kirischian, L. ‘Optimization of parallel task execution on the adaptive reconfigurable group organized computing system’, Proc. of International Conference PARELEC 2000, Canada, pp.150–154.

Vyas Krishnan and SrinivasKatkoori, “A Genetic Algorithm for the Design Space Exploration of Datapaths During High-Level Synthesis, IEEE Transactions on Evolutionary Computation, vol. 10, no. 3, June 2006, pp.229-313.

E. Torbey and J. Knight, “Performing scheduling and storage optimization simultaneously using genetic algorithms,” in Proc. IEEE Midwest Symp. Circuits Systems, 1998, pp. 284–287.

Giuseppe Ascia, Vincenzo Catania, Alessandro G. Di Nuovo, Maurizio Palesi, Davide Patti, “Efficient design space exploration for application specific systems-on-a-chip” Journal of Systems Architecture 53 (2007) pp. 733–750.

C. H. Gebotys and M. I. Elmasry, “Global optimization approach for architectural synthesis,” IEEE Trans. Comput.-Aided Des., vol. 12, 1993, pp. 1266–1278.

M. K. Dhodhi, F. H. Hielscher, R. H. Storer, and J. Bhasker, “Datapath synthesis using a problem-space genetic algorithm,” in IEEE Trans.Comput.-Aided Des., vol. 14, 1995, pp. 934–944.

Harish Ram D. S., M. C. Bhuvaneswari, and Shanthi S. Prabhu, (2012) A Novel Framework for Applying Multiobjective GA and PSO Based Approaches for Simultaneous Area, Delay, and Power Optimization in High Level Synthesis of Datapaths, VLSI Design Hindawi, Article ID 273276, 12 pages

E. Torbey and J. Knight, “High-level synthesis of digital circuits using genetic algorithms,” in Proc. Int. Conf. Evol. Comput, May 1998, pp.224–229.

Alessandro G. Di Nuovo, Maurizio Palesi, Davide Patti, Fuzzy Decision Making in Embedded System Design,” Proceedings of the 4th International Conference on Hardware/Software Codesign and System synthesis, October 2006,pp. 223-228.

A.C.Williams, A.D.Brown and M. Zwolinski,“Simultaneous optimisation of dynamic power, area and delay in behavioural synthesis”, IEE Proc.-Comput. Digit. Tech, Vol. 147, No. 6, 2000, pp. 383-390.

I. Das. A preference ordering among various Pareto optimal alternatives. Structural and Multidisciplinary Optimization, 18(1):Aug. 1999, pp.30–35.

Christian Haubelt, Thomas Schlichter, Joachim Keinert, Mike Meredith, “SystemCoDesigner: automatic design space exploration and rapid prototyping from behavioral models”, Proceedings of the 45th annual ACM IEEE Design Automation Conference, 2008, pp. 580-585.

J. C. Gallagher, S. Vigraham, and G. Kramer,“A family of compact genetic algorithms for intrinsic evolvable hardware,” IEEE Trans. Evolutionary Computation., vol. 8, no. 2 , Apr. 2004, pp. 111–126.

Xuejie Zhang and Kam W. Ng, “A review of high-level synthesis for dynamically reconfigurable FPGAs”, Microprocessors and Microsystems, Elsevier, Volume 24, Issue 4, 2000, pp. 199-211.

R. M. San and J. P. Knoght, “Genetic algorithms for optimization of integrated circuit synthesis,” in Proc. 5th Int. Conf. Genetic Algorithms, San Mateo, CA, 1993., pp. 432–438.

R. J. Cloutier and D. E. Thomas, “The combination of scheduling, allocation and mapping in a single algorithm,” in Proc. 27th Design Automation Conf., Jun. 1990, pp. 71–76.

N. Wehn et al., “A novel scheduling and allocation approach to datapath synthesis based on genetic paradigms,” in Proc. IFIPWorking Conf. Logic Architecture Synthesis, 1991, pp. 47–56.

G. Krishnamoorthy and J. A. Nestor, “Data path allocation using extended binding model,” in Proc. 32nd ACM/IEEE Design Automation Conf.1992, pp. 279–284.

J. A. Nestor and G. Krishnamoorthy, “SALSA: A new approach to scheduling with timing constraints,” IEEE Trans. Comput.-Aided Des., vol. 12, 1993, pp. 1107–1122.

T. A. Ly and J. T. Mowchenko, “Applying simulated evolution to high level synthesis,” IEEE Trans. Comput.-Aided Des., vol. 12, no. 2, Feb. 1993, pp.389–409.

C. T. Hwang, J. H. Lee, Y. C. Hsu, and Y. L. Lin, “A formal approach to the scheduling problem in high-level synthesis,” IEEE Trans. Comput.- Aided Des., vol. 10, no. 2, Feb1991, pp. 464–475.

Giuseppe Ascia, Vincenzo Catania, Alessandro G. Di Nuovo, Maurizio Palesi, Davide Patti, “Efficient design space exploration for application specific systems-on-a-chip” Journal of Systems Architecture 53, 2007, pp. 733–750.

GAUT: A High-Level Synthesis Tool for DSP Applications”, P. Coussy, C. Chavet, P. Bomel et al., in High-Level Synthesis: From Algorithm to Digital Circuits, Springer, 2008, pp. 147-169.

Canis, A., Choi, J., Aldham, M., Zhang, V., Kammoona, A., Czajkowski, T., Brown, S. D., and Anderson, J. H. 2013. LegUp: An open-source high-level synthesis tool for FPGA-based processor/accelerator systems. ACM Trans. Embedd. Comput. Syst. 13, 2, Article 24 (September 2013), 27 pages.

Villarreal, J., Park, A., Najjar, W., and Halstead, R. 2010. “Designing modular hardware accelerators in C with ROCCC 2.0”. In Proceedings of the IEEE International Symposium on Field-Programmable Custom Computing Machines. 2010, pp. 127–134.

http://www.cbl.ncsu.edu/benchmarks/.

http://express.ece.ucsb.edu/benchmark/


Refbacks

  • There are currently no refbacks.


ISSN: 0353-3670 (Print)

ISSN: 2217-5997 (Online)

COBISS.SR-ID 12826626